Vhdl code: 3x8 decoder



Download 220.76 Kb.
Date10.05.2022
Size220.76 Kb.
#58745
lab4
LAb Assembly-Language (1)

VHDL Code:
3x8 DECODER




8x3 ENCODER





Simulation Results:
For each combination of inputs take a screenshot of the output
For Decoder :
Block Diagram

Test bench Simulation:



For Encoder:


B lock Diagram

Test Bench Simulation:




Download 220.76 Kb.

Share with your friends:




The database is protected by copyright ©ininet.org 2024
send message

    Main page